Entwickler-Ecke

Wünsche, Anregungen & Kritik - [code]-Block "verschluckt" Zeilenumbrüche am Ende.


hydemarie - Fr 29.07.16 12:00
Titel: [code]-Block "verschluckt" Zeilenumbrüche am Ende.
Siehe hier:
http://www.entwickler-ecke.de/viewtopic.php?t=115719&start=0&postorder=asc

Die beiden vollständigen Listings enden mit je einem Zeilenumbruch, das zweite sogar mit einem zusätzlichen Leerzeichen. Hilft aber nix. :(


Martok - Fr 29.07.16 12:46

Auch am Anfang.

@user profile iconChristian S.: das ist in classes/BBCode/BBCodeTextHTML.php:358 explizit so gemacht, kannst du dich erinnern warum das so ist? Unsere History geht nicht weit genug zurück ;)
Ich hatte das mit dem neuen Parser nur 1:1 so rüber refaktorisiert (das ist so ein Wort wie "gedownloaded", oder?)...


hydemarie - Fr 29.07.16 12:49

"Gedownloaded" ist ja auch falsch, es heißt "gedownloadet". :twisted:


Christian S. - Fr 29.07.16 15:11

user profile iconMartok hat folgendes geschrieben Zum zitierten Posting springen:
@user profile iconChristian S.: das ist in classes/BBCode/BBCodeTextHTML.php:358 explizit so gemacht, kannst du dich erinnern warum das so ist?
Ich würde mal vermuten, weil Leerzeilen am Ende von Quelltexten nicht sinnvoll sind :nixweiss:

Wer die unbedingt haben möchte, darf gerne einen leeren Highlight-Tag ganz ans Ende einfügen ;)


hydemarie - Fr 29.07.16 15:15

user profile iconChristian S. hat folgendes geschrieben Zum zitierten Posting springen:
Ich würde mal vermuten, weil Leerzeilen am Ende von Quelltexten nicht sinnvoll sind :nixweiss:


Das sieht GnuCOBOL aber anders - und es ist mir tatsächlich auch schon bei anderen Dateien passiert, dass sie ohne eine zusätzliche Leerzeile am Ende Probleme bereitet haben, also für so esoterisch würde ich dieses Problem jetzt einfach mal nicht halten.


hydemarie - Fr 29.07.16 15:20

Apropos wenig esoterisch - hier mal ein Zitat aus dem C99-Standard:

Zitat:
A source file that is not empty shall end in a new-line character, which shall not be immediately preceded by a backslash character before any such splicing takes place.


Soll ich weitersuchen? :D


Ralf Jansen - Fr 29.07.16 15:39

Zitat:
"Gedownloaded" ist ja auch falsch, es heißt "gedownloadet". :twisted:


Nope. Es heißt "downgeloadet". Sonst müßte es ja auch "geherunterladen" heißen und nicht "heruntergeladen" ;)


hydemarie - Fr 29.07.16 15:42

user profile iconRalf Jansen hat folgendes geschrieben Zum zitierten Posting springen:
Nope.


Dope!


Christian S. - Fr 29.07.16 15:45

Wenn Du vollständig kompilierbare Dateien bereitstellen willst, darfst Du das gerne als Anhang tun. In Postings erscheinen meist nur Code-Ausschnitte und da machen Leerzeilen am Ende keinen Sinn.

Und "esoterisch" ist es im Rahmen dieses Forums durchaus, weil es bisher keinen gestört hat. Die Fälle, wo das Entfernen Sinn macht, sind viel öfter vorhanden als die, wo man die Leerzeilen braucht. Daher wird es so bleiben. Einen Workaround habe ich ja oben beschrieben.


hydemarie - Fr 29.07.16 15:50

"Hat hier noch keinen gestört", weil C und COBOL halt nicht so der Fokus sind? Dann solltet ihr das an das WebDev-Forum auch so dranschreiben, dass andere Sprachen im Zweifel halt Pech gehabt haben.

Das Posten vollständiger Quellcodes wird in diesem Forum unabhängig von der Länge allenfalls toleriert, keinesfalls aber noch aktiv gefördert, habe ich das richtig zusammengefasst? Dann hätte ich mir die Arbeit auch sparen können und lerne für die Zukunft daraus.

Ich bin ein bisschen enttäuscht.


Christian S. - Fr 29.07.16 15:57

user profile iconhydemarie hat folgendes geschrieben Zum zitierten Posting springen:
"Hat hier noch keinen gestört", weil C und COBOL halt nicht so der Fokus sind?

Ja.

user profile iconhydemarie hat folgendes geschrieben Zum zitierten Posting springen:
Dann solltet ihr das an das WebDev-Forum auch so dranschreiben, dass andere Sprachen im Zweifel halt Pech gehabt haben.

Was verstehst Du unter "Pech gehabt"? Du kannst ja weiter hier zu diesen Sprachen schreiben. Aber ich werde nicht eine sinnvolle Maßnahme für die überwiegende Mehrzahl unserer Postings aufgeben, weil es in drei Postings nicht gut ist.

user profile iconhydemarie hat folgendes geschrieben Zum zitierten Posting springen:
Das Posten vollständiger Quellcodes wird in diesem Forum unabhängig von der Länge allenfalls toleriert, keinesfalls aber noch aktiv gefördert, habe ich das richtig zusammengefasst?

Nein, das hast Du für Dich so interpretiert.


hydemarie - Fr 29.07.16 16:01

user profile iconChristian S. hat folgendes geschrieben Zum zitierten Posting springen:
Aber ich werde nicht eine sinnvolle Maßnahme für die überwiegende Mehrzahl unserer Postings aufgeben, weil es in drei Postings nicht gut ist.


In den "drei Postings" macht es den Quellcode unbrauchbar (mithin das ganze Tutorial "kaputt"). Jetzt wüsste ich aber wirklich gern, inwiefern das eine "sinnvolle Maßnahme" in den anderen Postings ist, dass man Benutzern Leerzeilen am Ende verbietet. Welche andere Sprache geht denn mit einer zusätzlichen Leerzeile am Ende kaputt?

Nee, "sinnvoll" ist das nicht, für niemanden. "Sieht nur besser aus" rechtfertigt meiner Meinung nach kein "macht aber Code kaputt", aber ist halt auch nicht mein Forum hier.

Leider.


Delete - Fr 29.07.16 17:11

- Nachträglich durch die Entwickler-Ecke gelöscht -


hydemarie - Fr 29.07.16 17:16

user profile iconFrühlingsrolle hat folgendes geschrieben Zum zitierten Posting springen:
Wenn du eine zusätzliche Zeile am Ende brauchst


Ich nicht, der Sprachstandard leider schon. Und das ist offenbar in mehreren Sprachen so.

user profile iconFrühlingsrolle hat folgendes geschrieben Zum zitierten Posting springen:
dann ärgenze den Code mit einen Highlighting Block.


Für (C und) COBOL gibt's hier kein Highlighting, sonst hätt' ich ja ... :(


Delete - Fr 29.07.16 17:32

- Nachträglich durch die Entwickler-Ecke gelöscht -


hydemarie - Fr 29.07.16 17:43

Theoretisch ja, aber welches Highlighting soll ich in solchen Fällen denn auswählen, damit es trotzdem funktioniert? [delphi] wäre Quatsch und Plain geht ja nicht.


Delete - Fr 29.07.16 17:53

- Nachträglich durch die Entwickler-Ecke gelöscht -


hydemarie - Fr 29.07.16 18:00

Du-u, EE-Team...?


Christian S. - Fr 29.07.16 18:03

Wenn eine Sprache genutzt wird, werden wir dafür auch entsprechende Tags einbauen. Auf Verdacht eher nicht.

Der Trick mit den Highlight-Tags geht aber auch bei den normalen Code-Tags:


Quelltext
1:
2:
3:
4:
foo




(Bitte diesen Beitrag zitieren, um den BBCode zu sehen, der wird rausgeparst.)


hydemarie - Fr 29.07.16 18:04

Ah, das ist hübsch, danke. :)